めちゃくちゃ速い物体のシミュレーションをしようとしています.Version2017.3です.
タイムスケールを小さくしてfixedDeltaTimeをそれ相応に小さくとれば普通のシミュレーションと同じようにできると思ってたんですが,
なんかFixedDeltaTimeの下限値が0.0001秒になってるみたいでうまくシミュレーションできません.
タイムスケール0.0001でシミュレーションするとFixedDeltaTimeをどんなに細かくしても1秒おきに計算が実行される感じです.
(スクリプトで指定していますがTimeManagerで入力してみても0.0001以下は入れられないみたいです)
リファレンスにもそういうことは書いてないと思うんですが.

解決方法があればご教示お願いします.